新闻  |   论坛  |   博客  |   在线研讨会
人工智能时代的EDA
EDA365 | 2023-04-01 11:15:14    阅读:99   发布文章

随着人工智慧(AI)技术的采用和复杂性的不断发展,人们越来越清楚地认识到AI及其许多派生工具(深度学习,机器学习等)将导致世界范围内前所未有的深刻的社会经济变化自工业革命以来出现。尽管就其道德使用与不道德使用以及对全球社会经济的潜在影响而言,有时它可能是一个有争议的话题,但可以肯定的是:即使在婴儿期,AI仍在有效地部署,以极大地改善和更好地实现许多自动化。从数据收集,通信,机器人技术/工厂自动化,汽车设计到甚至我们自己小的但实力雄厚的EDA行业,在芯片设计方面的任务不胜枚举。

  简而言之(请原谅混合的比喻),精灵已经不在瓶中了,我们需要拥抱它。毫无疑问,在2020年,人工智能芯片和系统的设计和部署将继续增长。

  为了帮助公司提供更复杂的AI技术,西门子公司Mentor等EDA公司正在做两件事:

      1.开发工具以帮助公司更快地设计AI加速器。

  2.利用机器学习算法来改进IC设计工具,以便它们可以更快地为客户提供更好的结果。

  让我们仔细看看这些。

  更好,更快的AI / ML设计工具大多数AI都是从数学算法开始的。开发人员在数学工具中创建算法,将其转换为C代码,然后在处理器上运行该算法。不可避免地,他们会发现算法的某些部分运行最佳,而其他部分的运行速度较慢。

  因此,在AI / ML加速器IP和芯片开发方面出现了巨大的增长(以及风险社区和大型公司的后续投资)。可以强制某些算法(通过调整C代码)使其在现有的AI ASSP体系结构上运行,但会降低性能和功耗,而其他算法(例如,对移动设备的思考)则需要专用逻辑才能最佳运行。

  为给定的应用程序开发正确的AI架构会激怒EDA工具,使企业能够使用更高级别的抽象。对于为其片上系统(SoC)设计开发AI IP加速器的公司,我们开始看到我们的Catapult HLS(高级综合)技术的业务正在增长。这使AI架构师能够开发自己的数学代码,将其转换为C或SystemC,并预先了解应在硬件还是软件中实现算法的哪些部分。然后,他们可以比尝试立即降到RT级别更快地融合到理想的体系结构上。

  当他们确实融合在理想的架构上并选择最适合运行的硬件配置时,到目前为止,测试和完善该架构的最佳方法是使用仿真解决方案,例如Mentor的Veloce仿真平台。这使团队不仅可以在仿真系统上运行经过加固的芯片设计,然后微调软件仿真,而且仿真还可以连接到最终系统,并通过仿真执行整体ML基准测试。

  这些AI平台中的许多平台将越来越需要高速连接。因此,我们认为大多数ML SoC实际上都是混合信号SoC。这将需要能够更有效地桥接数字和模拟域的工具,例如我们的Symphony AMS仿真解决方案,它是与供应商无关的AMS环境。

  因为许多位于数据中心和通信基础设施核心的ASSP AI设备都要求尽可能高的性能,所以我相信我们会开始看到将光子直接带到硅的硅光子设备的商业化程度不断提高。

  ML增强的EDA工具可带来更好,更快的结果在过去的几年中,Mentor的研发人员以多种方式引领着将ML集成到我们自己的EDA工具中的方式。该公司目前有五种工具产品,这些工具产品可利用ML来帮助提供更好的结果并更快地交付它们。请允许我稍等一下,并在改进EDA工具的背景下讨论ML。

  众所周知,没有数据,机器学习是无用的。产生的数据越多,就可以调用更多的ML来分类和开发出有意义的数据。对我们来说幸运的是,EDA工具本身可以产生大量数据。流程制造会生成大量数据,用户可以生成自己的专有数据和需求(用于培训)。因此,从理论上讲,数据并不短缺。实际上,在将ML用于EDA时,问题就变成了:哪些数据集可以有效地用于哪些工具功能?

  到目前为止,我们有五个利用ML增强功能的工具,其中大多数都在物理设计,验证和制造领域:用于库特征和仿真,光学邻近校正,光刻仿真和CMP建模的ML。此EDA部分显然是起点。

  例如,对于光学邻近校正,Caliber OPC在物理设计数据库上运行。每次运行该芯片都会产生数十亿个可用于分析的数据点。通过将ML技术应用于Caliber OPC,我们可以收集这些芯片周围的数据,然后使用它们来更快地产生更好的设计结果。

  在关键层的7 nm处,客户使用多达8,000个CPU,运行12至24小时来执行一次运行。通过使用机器学习,我们已经能够将其减少三分之二,并限制了生产将来出现的每个高级节点所需的时间增加(见图)。

  这只是一个例子,但是我们在其他ML增强工具上也看到了类似的结果。目前,我们还有两个项目正在研究中,这些项目正在寻找AI / ML可以利用看似丰富的数据来更快地提供更好结果的新方法。您当然可以期望,到2020年,您将使用更多的经过ML增强的EDA工具来产生更多的创新。

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
送你一份见面礼: 超大半导体封装地图/PCB行业桌垫 PCB/半导体行业独家资料 私信我或者加v:eda365-app 获取领取方式
推荐文章
最近访客